CPLD/FPGA与ASIC设计实践教程(第二版)

当前位置:首页 > 教材 > 研究生/本科/专科教材 > CPLD/FPGA与ASIC设计实践教程(第二版)

  • 版 次:2
  • 页 数:
  • 字 数:
  • 印刷时间:2010年09月01日
  • 开 本:16开
  • 纸 张:胶版纸
  • 包 装:平装
  • 是否套装:否
  • 国际标准书号ISBN:9787030288301
  • 丛书名:普通高等教育电子科学与技术类特色专业教材
作者:邹道胜、朱如琪 著陈赜 编出版社:科学出版社出版时间:2010年09月 
编辑推荐
《CPLD/FPGA与ASIC设计实践教程(第二版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理、设计选型、开发流程、配置和下载电路,介绍了EDA设计软件和VerilogHDL语言,通过实例介绍了利用现代EDA技术设计数字电路和数字系统的方法,并简要介绍了前沿的SOPC技术。 
内容简介
《CPLD/FPGA与ASIC设计实践教程(第二版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理,CPLD/FPGA器件的性能指标与选型、编程方法和下载电路,以及常用EDA设计软件的使用。第4~6章先详细介绍Verilog HDL语言,然后按照数字电路与逻辑设计课程的顺序,通过实例说明常用数字逻辑电路的实现方法,并讨论利用Verilog HDL设计可综合的数字电路的方法与技巧。第7、8章是设计实验部分,包括经典数字电路设计练习和综合性设计项目。第9章介绍嵌入式系统的发展趋势、SoPC设计技术及其应用等。
《CPLD/FPGA与ASIC设计实践教程(第二版)》可作为高等院校电子电气类、机电类等专业数字系统设计课程的教材,也可作为相关领域工程技术人员的参考书。
作者简介
 
目  录
丛书序
第二版前言
第一版前言
第1章 绪论
1.1 概述
1.1.1 电子器件的发展
1.1.2 电子设计技术的发展
1.2 EDA技术的发展史
1.2.1 EDA概念
1.2.2 EDA技术的发展
1.3 CPLD/FPGA的发展史
1.3.1 数字集成电路的分类
1.3.2 可编程逻辑器件的发展史
1.4 常用EDA设计工具介绍
前  言
 
媒体评论
 
在线试读部分章节
 

 CPLD/FPGA与ASIC设计实践教程(第二版)下载



发布书评

 
 

 

PDF图书网 

PDF图书网 @ 2017