低功耗CMOS电路设计--逻辑设计与CAD工具

当前位置:首页 > 工业技术 > 电子 通信 > 低功耗CMOS电路设计--逻辑设计与CAD工具

  • 版 次:1
  • 页 数:
  • 字 数:
  • 印刷时间:2011年07月01日
  • 开 本:16开
  • 纸 张:胶版纸
  • 包 装:平装
  • 是否套装:否
  • 国际标准书号ISBN:9787030315687
  • 丛书名:集成电路设计
作者:(瑞士)Christian Piguet 主编,陈力颖 译出版社:科学出版社出版时间:2011年07月 
编辑推荐

     《低功耗CMOS电路设计》着重叙述低功耗电路设计,包括工艺与器件、逻辑电路以及CAD设计工具三个方面的内容。在工艺器件方面,描述了低功耗电子学的历史、深亚微米体硅SOI技术的进展、CMOS纳米工艺中的漏电、纳米电子学与未来发展趋势、以及光互连技术;在低功耗电路方面,描述了深亚微米设计建模、低功耗标准单元、高速低功耗动态逻辑与运算电路、以及在结构、电路、器件的各个层面上的低功耗设计技术,包括时钟、互连、弱反型超低功耗设计和绝热电路;在低功耗CAD设计工具方面,描述了功耗模型与高层次功耗估计,国际上主要CAD公司的功耗设计工具以及低功耗设计流程。本书由(瑞士)christian Piguet主编。

 
内容简介

     《低功耗CMOS电路设计》着重叙述低功耗电路设计,第一部分概述低功耗电子技术和深亚微米下体硅sOI技术的进展、CMOS纳米技术中的漏电流及光互连技术等;第二部分阐述深亚微米设计模型、低功耗标准单元、低功耗超高速动态逻辑与运算电路,以及在结构、电路、器件的各个层面上的低功耗设计技术;第三部分主要针对CAD设计工具及低功耗设计流程进行阐述。本书的内容来自低功耗集成电路设计领域三十多位国际知名学者和专家的具体实践,包括学术界与工业界多年来的研究设计成果与经验,所介绍的技术可以直接应用于产品设计。
     《低功耗CMOS电路设计》可以作为微电子、电子科学与技术、集成电路等领域的研发、设计人员及工科院校相关专业师生的实用参考资料。本书由(瑞士)christian Piguet主编。
    

作者简介

     Christian Piguet,瑞士Nyon人,分别在1974年和1981年获得洛桑联邦瑞士大学(EPFL)的电子工程硕士与博士学位。 Piguet博士于1974年加入了瑞士纳沙泰尔Centre Electronique Horloger S.A.实验室。主要研究钟表业的CMOS数字集成电路和嵌入式低功耗微处理器,以及基于门阵列方法的CAD工具。他目前是纳沙泰尔CSEM Centre Suisse d'Electronique et de Microtechnique S.A.实验室超低功耗部门的负责人,并参与低功耗和高速CMOS集成电路的设计与管理。他的主要兴趣包括低功耗微处理器与DSP、低功耗标准单元库、门控时钟和低功耗技术及异步设计。

目  录
第1部分 概述
第1章 低功耗电子技术的发展历史
1.1 引言
1.2 早期的计算机
1.3 晶体管和集成电路
1.4 低功耗消费类电子产品
1.5 功耗的快速增加
1.6 结论
参考文献
第2章 深亚微米下体硅技术与SOI技术的进展
2.1 引言
2.2 ITRS概述
2.3 晶体管的饱和电流和亚阈值电流
2.4 栅和其他隧道电流

 低功耗CMOS电路设计--逻辑设计与CAD工具下载



发布书评

 
 

 

PDF图书网 

PDF图书网 @ 2017